Спец курс (Верификация цифровых схем)/Практические задания (Пример) — различия между версиями
Материал из Wiki
ANA (обсуждение | вклад) м |
Vidokq (обсуждение | вклад) (→Пример проекта) |
||
Строка 28: | Строка 28: | ||
[[File:Edaplayground settings.png|Пример настройки edaplayground]] | [[File:Edaplayground settings.png|Пример настройки edaplayground]] | ||
+ | == Управление рандомизацией на EDA PLayground == | ||
+ | Для того чтобы получать каждый раз разные результаты при вызове рандомизации используйте RUN_TIME опцию : | ||
+ | -sv_seed random | ||
+ | [[Файл:2021-01-16 09 26 32-Edit code - EDA Playground.png]] | ||
== Пример проекта == | == Пример проекта == | ||
* Ссылка на проект [http://www.edaplayground.com/x/5QXQ DFF example] | * Ссылка на проект [http://www.edaplayground.com/x/5QXQ DFF example] |
Текущая версия на 10:31, 16 января 2021
Содержание |
Требования при выполнении практических заданий
- Выполнение практических занятий выполнять на сайте edaplayground.com, который предоставляет компилятор, симулятор и редактор для написания HDL-кода:
- ссылка на сайт https://www.edaplayground.com
- После выполнения практического задания ссылку на проект выслать на почту преподавателю
Настройка edaplayground.com
Для запуска симуляции необходимо провести настройку на сайте edaplayground.com. Для этого следует выбрать:
- "UVM 1.2" в поле UVM / OVM.
- "Aldec Riviera Pro 2015.06" в поле Tools & Simulators.
- Для сбора дампа сигналов необходимо добавить
initial begin $dumpfile("dump.vcd"); $dumpvars(1); end
в описание top модуля.
- Для автоматического открытия EPWave (для просмотра временных диаграмм) следует выставить галочку "Open EPWave after run".
Пример настройки edaplayground.com представлен на рисунке ниже:
Управление рандомизацией на EDA PLayground
Для того чтобы получать каждый раз разные результаты при вызове рандомизации используйте RUN_TIME опцию :
-sv_seed random
Пример проекта
- Ссылка на проект DFF example